Chip Design

This magazine is from a federated server and may be incomplete. For a complete list of posts, browse on the original instance.

Using LLMs to Facilitate Formal Verification of RTL ( arxiv.org )

Engineers in Princeton managed to train GPT4 and extend AutoSVA to generate SVA (systemverilog assertions) from buggy RTL and functionality description. SVA is widely used to verify digital design for ASIC and FPGAs. AutoSVA2, which extends open-source AutoSVA, improves the flow to generate SVA from English description. LLM was...

  • All
  • Subscribed
  • Moderated
  • Favorites
  • random
  • test
  • chipdesign@lemmy.ml
  • worldmews
  • mews
  • All magazines